西门子PLC自制一个周期、占空比可调脉冲

西门子PLC自制一个周期、占空比可调脉冲

一个简单的例子,应用一个定时器来调节,即使该定时器按特定的数值为1或0:
AN  M0.0                    
L  S5T#1S   //   值可设,例如1秒
SD  T1

A  T1
=M  0.0

L  T1   //  取定时器内容
T  MW26

L  MW26  //  通过变量表实时观察T1的最大值(16进制),例如,与其的二分之一比较。这个数根据实际需要可调。
L  88    //例如,  T1的二分之一(换成10进制)
>=I
= M  0.1

A  M0.1
=Q  0.0  // 输出

,

一个简单的例子,应用一个定时器来调节,即使该定时器按特定的数值为1或0:
AN  M0.0                    
L  S5T#1S   //   值可设,例如1秒
SD  T1

A  T1
=M  0.0

L  T1   //  取定时器内容
T  MW26

L  MW26  //  通过变量表实时观察T1的最大值(16进制),例如,与其的二分之一比较。这个数根据实际需要可调。
L  88    //例如,  T1的二分之一(换成10进制)
>=I
= M  0.1

A  M0.1
=Q  0.0  // 输出

西门子PLC自制一个周期、占空比可调脉冲

相关文章:

你感兴趣的文章:

标签云: